硬件描述语言论文_胡靖

导读:本文包含了硬件描述语言论文开题报告文献综述、选题提纲参考文献及外文文献翻译,主要关键词:硬件,语言,嵌入式,教学改革,编译器,建模,原型。

硬件描述语言论文文献综述

胡靖[1](2018)在《“硬件描述语言”课程改革的教学研究》一文中研究指出针对黑龙江大学集成电路专业"硬件描述语言"课程现状,分析问题所在,拟定了相关课程的整合计划,从理论教学、实践教学、授课内容等方面提出了改革课程教学方案,做到理论教学和实践相结合,为学生进一步深入学习数字集成电路的相关课程打下坚实的基础。(本文来源于《黑龙江教育(高教研究与评估)》期刊2018年12期)

马明全[2](2018)在《硬件描述语言在数字电路设计中的应用》一文中研究指出VHDL是目前全用较为广泛的一种硬件设计语言,本文通过对该硬件设计语言的相关简述,分析与研究硬件描述语言在数字电路设计当中的应用,同时结合一定的具体案例进行实际求证。通过研究结果说明,VHDL拥有很强的数字电路硬件描述功能,在进行电路设计时,起到巨大的效果,是一个行之有效的方法,同时,其还是数字电路教学进程中新兴的一种理论,并且其同现实实践紧密相连,是一种提升与培育学生综合运用能力与现实操作能力的重要辅助工具。(本文来源于《中国新通信》期刊2018年23期)

林挺钊[3](2018)在《基于超高速硬件描述语言的快速数字锁相环设计》一文中研究指出文章介绍了一种新型的数字锁相电路,通过设置相位差时间闸门的方法进行快速锁相。仿真分析表明,该电路在相同相位误差条件下可以显着提高传统的超前-滞后型数字锁相环的锁相速度。(本文来源于《中国科技信息》期刊2018年18期)

高新凯[4](2018)在《DeviceGuard——基于C语言的硬件变动检测程序》一文中研究指出对学校管理人员而言,确保计算机硬件的安全至关重要。配置较高的机房,其计算机大多采取SSD+HDD双硬盘、双内存条、双显卡。比起单硬盘、单内存条、单显卡的配置,性能有显着的提升。然而,这也给硬件安全管理带来了挑战:一台双硬盘、双内存条、双显卡的计算机,即使丢失了一块硬盘、一根内存条、一块独立显卡,也可能正常运行。如何才能在失窃发生后第一次开机就发现案情呢?该文提出了一基于C语言的自动化硬件变动检测程序,该程序具有自动扫描硬件、硬件变动报警、硬件日志等功能,极大地缩小了机房硬件检查的时间和难度,为保障机房硬件安全提供一条新思路。(本文来源于《电脑知识与技术》期刊2018年13期)

钱玉娟[5](2018)在《王小川:搜狗会以语言为核心落地AI 发布两款翻译智能硬件产品》一文中研究指出自去年以来,人工智能领域的竞争便如火如荼地展开,而搜狗也用实际行动宣告其已经加入到角逐的赛道中来。1月24日,搜狗首席执行官王小川、首席技术官杨洪涛、首席运营官茹立云等高管在公司上市后首次集体现身搜狗合作伙伴大会,全面且详细地对外介绍了搜狗在人工智能领域的战略布局。(本文来源于《中国经济信息》期刊2018年03期)

张婧婧[6](2018)在《基于硬件原型的C语言课程教学案例设计》一文中研究指出以程控电路的原型设计为背景,展开C语言课程教学模式的研究和探讨,旨在通过硬件的仿真平台,演绎和推理C语言的编程实例和教学设计;以单片机的仿真模型为例,解析C语言仿真教学的具体内容和运行过程,指出应用硬件平台进行语言类课程教学的优势和困难。(本文来源于《计算机教育》期刊2018年01期)

袁博文[7](2017)在《基于嵌入式DSP系统C语言硬件编程技术浅谈》一文中研究指出本文首先简要分析了嵌入式DSP系统的硬件,探讨了运用C语言开展硬件编程的基本方法与好处,囊括怎样调试C语言程序,最后提出了怎样把C语言程序向DSP代码转化。(本文来源于《电脑迷》期刊2017年12期)

黄子桓[8](2017)在《航天型号FPGA硬件描述语言代码检查软件设计》一文中研究指出随着FPGA在航天领域中的广泛应用,FPGA代码质量对航天设备系统安全性的影响越来越显着。在中国航天领域中,中国航天科工第二研究院为了规范FPGA代码的设计,提高航天型号FPGA类产品的代码质量,制定了《Q/WE1117-2014二院型号FPGA硬件描述语言编程准则》。然而面对日益复杂的FPGA代码,采用人工审查方式对代码实施检查往往花费大量的精力和时间。基于上述背景和需求,本文设计并实现了基于Q/WE1117-2014编程规范的Verilog HDL代码规则自动检查软件,在FPGA代码设计阶段提供高效的代码规则检查功能。通过对Q/WE1117-2014规范的研究和软件需求的分析,设计了Verilog HDL硬件描述语言代码规则自动检查软件的总体架构。软件由软件管理配置工具、Verilog HDL预处理器、Verilog HDL编译器、规则检查管理器以及规则检查库构成。其中软件管理配置工具作为软件的交互接口,基于Notepad++开源编辑器开发,提供了MDI风格的代码编辑界面、代码文件表的建立维护、规则的配置管理、规则检查启动、检查进度及检查结果显示等功能。Verilog HDL预处理器和编译器用于对Verilog HDL源代码进行编译并生成对应的抽象语法树(Abstract Syntax Tree,AST)。在研究和分析了Verilog HDL词法及语法定义的基础上,设计并编写了用于生成Verilog HDL预处理器及编译器的Flex规则文件和Bison规则文件,同时提出了AST的一种实现方式。规则检查管理模块读取软件管理配置工具维护的配置文件并调用规则检查库中相应的规则检查函数对AST执行遍历和检查。规则检查库采用了动态链接库的形式进行封装,其中的规则检查函数与编程规则一一对应,并采用了统一的函数接口定义,使本软件具备了规则扩展的能力。针对Q/WE1117-2014规范的具体规则,提出并实现了相应的规则检查算法。最后,使用实际FPGA工程Verilog HDL代码及覆盖了本软件所有规则检查函数的Verilog HDL测试代码对软件进行了功能测试。测试结果显示本软件运行正常,能够正确检查出测试代码中存在的违规项,满足了软件的设计要求。(本文来源于《哈尔滨工业大学》期刊2017-06-01)

雷思磊[9](2017)在《开源硬件描述语言Chisel的组合电路设计》一文中研究指出Chisel是加州大学伯克利分校研究人员设计并发布的一种开源的硬件设计语言,已成功用于实现多种处理器,在介绍Chisel基本概念的基础上,通过一个组合电路设计示例和一个时序电路设计示例,说明应用Chisel进行数字电路设计的主要流程。(本文来源于《单片机与嵌入式系统应用》期刊2017年03期)

杜浩[10](2017)在《支持目标平台硬件与系统模式描述的嵌入式软件建模语言扩展设计》一文中研究指出随着对嵌入式软件开发技术的深入研究以及软件复杂度的迅速增加,嵌入式软件建模技术面临着巨大的挑战;与此同时,随着嵌入式软在安全关键领域(如:航空航天、军工电子、船舶控制、核电站等)的广泛应用,模型驱动的软件开发技术在安全关键工程领域中也逐渐得到广泛的关注和应用。传统的嵌入式软件建模技术(如,UML,SysML,AADL,SmartC等)虽然已经在很多领域得到广泛应用,但是,面对当前功能特性快速增加、安全需求逐渐提高的嵌入式软件系统,这些技术或方法目前还存在一些问题,如,缺少对目标运行平台参数的描述(包括:对处理器、存储器、总线、设备等资源的描述);建模语言本身无法对组件模式及模式间的转换过程进行描述,这对软件模型的行为功能的分析与设计带来了困难等等。本文主要工作是分别对支持目标平台硬件设计的嵌入式软件建模以及嵌入式软件模式建模两个问题展开了分析与研究,具体包括以下两个部分:(1)研究了基于SmartC的目标平台设计问题:SmartC是一种联合了基于模型与模型驱动两种软件开发思想的面向汽车电子领域的嵌入式软件建模语言。SmartC建模元素主要是从软件的角度来对系统进行分析与建模。本文在SmartC语言原有建模元素的基础上,提出了一种支持目标平台硬件设计的嵌入式软件建模语言一TPML,该语言增加了如处理器、虚拟处理器、存储器、总线、虚拟总线及设备等硬件资源,以支持对目标平台的嵌入式软件设计。(2)研究了基于SmartC的嵌入式软件模式建模问题:SmartC主要是从嵌入式软件的结构特征、各功能模块间的通信与交互等特性来对软件进行安全性分析。本文在SmartC结构模型的基础上,提出了一种嵌入式软件模式建模方法,该方法抽取出结构模型的行为特征,并采用模式对其进行描述,以支持对嵌入式软件的模式特征及模式转换过程的描述。(本文来源于《浙江大学》期刊2017-01-05)

硬件描述语言论文开题报告

(1)论文研究背景及目的

此处内容要求:

首先简单简介论文所研究问题的基本概念和背景,再而简单明了地指出论文所要研究解决的具体问题,并提出你的论文准备的观点或解决方法。

写法范例:

VHDL是目前全用较为广泛的一种硬件设计语言,本文通过对该硬件设计语言的相关简述,分析与研究硬件描述语言在数字电路设计当中的应用,同时结合一定的具体案例进行实际求证。通过研究结果说明,VHDL拥有很强的数字电路硬件描述功能,在进行电路设计时,起到巨大的效果,是一个行之有效的方法,同时,其还是数字电路教学进程中新兴的一种理论,并且其同现实实践紧密相连,是一种提升与培育学生综合运用能力与现实操作能力的重要辅助工具。

(2)本文研究方法

调查法:该方法是有目的、有系统的搜集有关研究对象的具体信息。

观察法:用自己的感官和辅助工具直接观察研究对象从而得到有关信息。

实验法:通过主支变革、控制研究对象来发现与确认事物间的因果关系。

文献研究法:通过调查文献来获得资料,从而全面的、正确的了解掌握研究方法。

实证研究法:依据现有的科学理论和实践的需要提出设计。

定性分析法:对研究对象进行“质”的方面的研究,这个方法需要计算的数据较少。

定量分析法:通过具体的数字,使人们对研究对象的认识进一步精确化。

跨学科研究法:运用多学科的理论、方法和成果从整体上对某一课题进行研究。

功能分析法:这是社会科学用来分析社会现象的一种方法,从某一功能出发研究多个方面的影响。

模拟法:通过创设一个与原型相似的模型来间接研究原型某种特性的一种形容方法。

硬件描述语言论文参考文献

[1].胡靖.“硬件描述语言”课程改革的教学研究[J].黑龙江教育(高教研究与评估).2018

[2].马明全.硬件描述语言在数字电路设计中的应用[J].中国新通信.2018

[3].林挺钊.基于超高速硬件描述语言的快速数字锁相环设计[J].中国科技信息.2018

[4].高新凯.DeviceGuard——基于C语言的硬件变动检测程序[J].电脑知识与技术.2018

[5].钱玉娟.王小川:搜狗会以语言为核心落地AI发布两款翻译智能硬件产品[J].中国经济信息.2018

[6].张婧婧.基于硬件原型的C语言课程教学案例设计[J].计算机教育.2018

[7].袁博文.基于嵌入式DSP系统C语言硬件编程技术浅谈[J].电脑迷.2017

[8].黄子桓.航天型号FPGA硬件描述语言代码检查软件设计[D].哈尔滨工业大学.2017

[9].雷思磊.开源硬件描述语言Chisel的组合电路设计[J].单片机与嵌入式系统应用.2017

[10].杜浩.支持目标平台硬件与系统模式描述的嵌入式软件建模语言扩展设计[D].浙江大学.2017

论文知识图

编码激励超声流量测量系统总体结构蓝牙基带IP验证平台蓝牙电路仿真验证...微电子领域的不同层次建模示意图接口VHDL流程图改进的SHA-1电路使用verilog硬件描5状态图Fig.5Stategraph...

标签:;  ;  ;  ;  ;  ;  ;  

硬件描述语言论文_胡靖
下载Doc文档

猜你喜欢